| | |
---|
2021 | Agil arbeiten, lernen, Unternehmenswerte pflegen Korge, Gabriele; Bauer, Agnes; Sharma, Mara | Aufsatz in Buch |
2021 | Agiles Lernen im Unternehmen : Longmuß, Jörg (Hrsg.); Korge, Gabriele (Hrsg.); Bauer, Agnes (Hrsg.); Höhne, Benjamin (Hrsg.) | Buch |
2021 | Agiles Lernen im Unternehmen. Prinzipien, Ablauf, Rollen, Instrumente Korge, Gabriele; Höhne, Benjamin; Bauer, Agnes; Longmuß, Jörg | Aufsatz in Buch |
2021 | Agiles Lernen zu 100% online Bauer, Agnes; Korge, Gabriele; Aders, Marianne | Aufsatz in Buch |
2021 | Der Ansatz Agiles Lernen im Unternehmen Korge, Gabriele; Longmuß, Jörg; Höhne, Benjamin; Bauer, Agnes | Aufsatz in Buch |
2021 | Digitalisierungsprojekte professionell managen Korge, Gabriele; Mantsch, Alexandra; Serra, Anja; Bauer, Agnes | Aufsatz in Buch |
2020 | A 4H-SiC UV Phototransistor with Excellent Optical Gain Based on Controlled Potential Barrier Benedetto, L. di; Licciardo, G.D.; Erlbacher, T.; Bauer, A.J.; Rubino, A. | Zeitschriftenaufsatz |
2020 | Antimicrobial peptides from rat-tailed maggots of the drone fly Eristalis tenax show potent activity against multidrug-resistant gram-negative bacteria Hirsch, R.; Wiesner, J.; Bauer, A.; Marker, A.; Vogel, H.; Hammann, P.E.; Vilcinskas, A. | Zeitschriftenaufsatz |
2020 | Impact of Channel Implantation on a 4H-SiC CMOS Operational Amplifier for High Temperature Applications Albrecht, M.; Perez, D.; Martens, R.C.; Bauer, A.J.; Erlbacher, T. | Konferenzbeitrag |
2020 | Influence of Aluminum Compensation Effects in 4H-SiC on the Performance of VDMOS Transistors Schlichting, Holger; Kocher, Matthias; Weiße, Julietta; Erlbacher, Tobias; Bauer, Anton J. | Konferenzbeitrag |
2020 | Influence of Shallow Pits and Device Design of 4H-SiC VDMOS Transistors on In-Line Defect Analysis by Photoluminescence and Differential Interference Contrast Mapping Kocher, Matthias; Schlichting, Holger; Kallinger, Birgit; Rommel, Mathias; Bauer, Anton J.; Erlbacher, Tobias | Konferenzbeitrag |
2020 | Low-Resistance Ohmic Contact Formation by Laser Annealing of N-Implanted 4H-SiC Hellinger, Carsten; Rusch, Oleg; Rommel, Mathias; Bauer, Anton J.; Erlbacher, Tobias | Konferenzbeitrag |
2020 | Molecular Networking-Guided Discovery and Characterization of Stechlisins, a Group of Cyclic Lipopeptides from a Pseudomonas sp. Marner, M.; Patras, M.A.; Kurz, M.; Zubeil, F.; Förster, F.; Schuler, S.; Bauer, A.; Hammann, P.; Vilcinskas, A.; Schaberle, T.F.; Glaeser, J. | Zeitschriftenaufsatz |
2020 | Pre-Deposition Interfacial Oxidation and Post-Deposition Interface Nitridation of LPCVD TEOS Used as Gate Dielectric on 4H-SiC Lim, Minwho; Sledziewski, Tomasz; Rommel, Mathias; Erlbacher, Tobias; Kim, Hong-Ki; Kim, Seongjun; Shin, Hoon-Kyu; Bauer, Anton J. | Konferenzbeitrag |
2020 | Smart Platform for Rapid Prototyping: A First Solution Approach to Improve Time-to-Market and Process Control in Low-Volume Device Fabrication Schellenberger, M.; Anger, S.; Pfeffer, M.; Häublein, V.; Roeder, G.; Bauer, A. | Konferenzbeitrag |
2020 | Towards Best Practice in Explaining Neural Network Decisions with LRP Kohlbrenner, M.; Bauer, A.; Nakajima, S.; Binder, A.; Samek, W.; Lapuschkin, S. | Konferenzbeitrag |
2019 | Agiles Sprintlernen - ein Konzept für dezentrales betriebliches Lernen Jungclaus, Joana; Korge, Gabriele; Arndt, Petra; Bauer, Agnes | Zeitschriftenaufsatz |
2019 | Aluminum acceptor activation and charge compensation in implanted p-type 4H-SiC Weiße, J.; Hauck, M.; Krieger, M.; Bauer, A.J.; Erlbacher, T. | Zeitschriftenaufsatz |
2019 | Biological Profiling of Coleoptericins and Coleoptericin-Like Antimicrobial Peptides from the Invasive Harlequin Ladybird Harmonia axyridis Hirsch, R.; Wiesner, J.; Marker, A.; Bauer, A.; Hammann, P.E.; Vilcinskas, A. | Aufsatz in Buch |
2019 | Channeling in 4H-SiC from an Application Point of View Pichler, Peter; Sledziewski, Tomasz; Häublein, Volker; Bauer, Anton J.; Erlbacher, Tobias | Konferenzbeitrag |
2019 | Comparison between Ni-SALICIDE and Self-Aligned Lift-Off Used in Fabrication of Ohmic Contacts for SiC Power MOSFET Sledziewski, Tomasz; Erlbacher, Tobias; Bauer, Anton J.; Frey, Lothar; Chen, Ximing; Zhao, Yanli; Li, Chengzhan; Dai, Xiaoping | Konferenzbeitrag |
2019 | Decoration of Al Implantation Profiles in 4H-SiC by Bevel Grinding and Dry Oxidation Kocher, Matthias; Erlbacher, Tobias; Rommel, Mathias; Bauer, Anton J. | Konferenzbeitrag |
2019 | Design Considerations for Robust Manufacturing and High Yield of 1.2 kV 4H-SiC VDMOS Transistors Schlichting, H.; Sledziewski, T.; Bauer, A.J.; Erlbacher, T. | Konferenzbeitrag |
2019 | Determination of Compensation Ratios of Al-Implanted 4H-SiC by TCAD Modelling of TLM Measurements Kocher, Matthias; Yao, Boteng; Weisse, Julietta; Rommel, Mathias; Xu, Zong Wei; Erlbacher, Tobias; Bauer, Anton J. | Konferenzbeitrag |
2019 | Feasibility of 4H-SiC p-i-n diode for sensitive temperature measurements between 20.5 K and 802 K Matthus, C.D.; Benedetto, L. di; Kocher, M.; Bauer, A.J.; Licciardo, G.D.; Rubino, A.; Erlbacher, T. | Zeitschriftenaufsatz |
2019 | First Experimental Test on Bipolar Mode Field Effect Transistor Prototype in 4H-SiC. A Proof of Concept Benedetto, L. di; Licciardo, G.D.; Huerner, A.; Erlbacher, T.; Bauer, A.J.; Rubino, A. | Konferenzbeitrag |
2019 | Improving 5V Digital 4H-SiC CMOS ICs for Operating at 400°C Using PMOS Channel Implantation Albrecht, M.; Erlbacher, T.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2019 | Influence of Aluminum Compensation Effects in 4H-SiC on the Performance of VDMOS Transistors Schlichting, Holger; Kocher, Matthias; Weiße, Julietta; Erlbacher, Tobias; Bauer, Anton J. | Poster |
2019 | Influence of shallow pits and device design of 4H-SiC VDMOS transistors on in-line defect analysis by using PL scanning Kocher, Matthias; Schlichting, Holger; Kallinger, Birgit; Rommel, Mathias; Bauer, Anton J.; Erlbacher, Tobias | Poster |
2019 | Low-resistance ohmic contact formation by laser annealing of N-implanted 4H-SiC Hellinger, Carsten; Rusch, Oleg; Rommel, Mathias; Bauer, Anton J.; Erlbacher, Tobias | Poster |
2019 | Ohmic Contact Mechanism for Ni/C-Faced 4H-n-SiC Substrate Kim, Seongjun; Kim, Hong-Ki; Lim, Minwho; Jeong, Seonghoon; Kang, Min-Jae; Kang, Min-Sik; Lee, Nam-Suk; Coung, Tran Viet; Kim, Hyunsoo; Erlbacher, Tobias; Bauer, Anton J.; Shin, Hoon-Kyu | Zeitschriftenaufsatz |
2019 | On the Origin of Charge Compensation in Aluminum-Implanted n-Type 4H-SiC by Analysis of Hall Effect Measurements Weisse, Julietta; Hauck, Martin; Sledziewski, Tomasz; Krieger, Michael; Bauer, Anton J.; Mitlehner, Heinz; Frey, Lothar; Erlbacher, Tobias | Konferenzbeitrag |
2019 | Performance of 4H-SiC Bipolar Diodes as Temperature Sensor at Low Temperatures Benedetto, L. di; Matthus, C.D.; Erlbacher, T.; Bauer, A.J.; Licciardo, G.D.; Rubino, A.; Frey, L. | Konferenzbeitrag |
2019 | Pre-deposition interfacial oxidation and post-deposition interface nitridation of LPCVD TEOS used as gate dielectric on 4H-SiC Lim, Minwho; Sledziewski, Tomasz; Rommel, Mathias; Erlbacher, Tobias; Kim, Hong-Ki; Kim, Seongjun; Shin, Hoon-Kyu; Bauer, Anton | Poster |
2019 | Process and design optimization of SiC MOSFET for low on-state resistance Sledziewski, Tomasz; Erlbacher, Tobias; Bauer, Anton | Vortrag |
2019 | Profiling antimicrobial peptides from the medical maggot Lucilia sericata as potential antibiotics for MDR Gram-negative bacteria Hirsch, R.; Wiesner, J.; Marker, A.; Pfeifer, Y.; Bauer, A.; Hammann, P.E.; Vilcinskas, A. | Zeitschriftenaufsatz |
2019 | Publisher’s Note: "Aluminum acceptor activation and charge compensation in implanted p-type 4H-SiC" [AIP Advances 9, 055308 (2019)] Weiße, J.; Hauck, M.; Krieger, M.; Bauer, A.J.; Erlbacher, T. | Zeitschriftenaufsatz |
2019 | Surface Characterization of Ion Implanted 4H-SiC Epitaxial Layers with Ion Energy and Concentration Variations Kim, Hong-Ki; Kim, Seongjun; Buettner, Jonas; Lim, Minwho; Erlbacher, Tobias; Bauer, Anton J.; Koo, Sang-Mo; Lee, Nam-Suk; Shin, Hoon-Kyu | Konferenzbeitrag |
2019 | A TCAD Process Model with Monte Carlo Ion Implantation for 4H-SiC JBS Diode Analysis and Design Büttner, J.; Beuer, S.; Petersen, S.; Rommel, M.; Erlbacher, T.; Bauer, A. | Poster |
2019 | Technological advances towards 4H-SiC JBS diodes for wind power applications Buettner, Jonas; Erlbacher, Tobias; Bauer, Anton | Konferenzbeitrag |
2019 | Über das Smartphone Wissen und Unterstützung für pflegende Angehörige bereitstellen Rutz, Maria; Gerlach, Mario; Schmeer, Regina; Gaugisch, Petra; Bauer, Alexander; Wolff, Dominik; Behrends, Marianne; Kupka, Thomas; Raudies, Stephanie; Meyenburg-Altwarg, Iris; Dierks, Marie-Luise | Zeitschriftenaufsatz |
2019 | Wavelength-selective 4H-SiC UV-sensor array Matthus, C.D.; Bauer, A.J.; Frey, L.; Erlbacher, T. | Zeitschriftenaufsatz |
2018 | Advances in thermal laser separation: Process monitoring in a kerf-free laser-based cutting technology to ensure high yield Barreto, M.C.; Roeder, G.; Steinhoff, M.; Schellenberger, M.; Bauer, A. | Zeitschriftenaufsatz, Konferenzbeitrag |
2018 | Agiles Sprintlernen Korge, Gabriele; Jungclaus, Joana; Bauer, Agnes | Zeitschriftenaufsatz |
2018 | Analysis of compensation effects in aluminum-implanted 4H-SiC devices Weisse, J.; Hauck, M.; Sledziewski, T.; Tschiesche, M.; Krieger, M.; Bauer, A.; Mitlehner, H.; Frey, L.; Erlbacher, T. | Konferenzbeitrag |
2018 | Analytical model for the influence of the gate-voltage on the forward conduction properties of the body-diode in SiC-MOSFETs Huerner, A.; Heckel, T.; Enduschat, A.; Erlbacher, T.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2018 | Cationic polyacrylamide copolymers (PAMs): Environmental half life determination in sludge‑treated soil Hennecke, Dieter; Bauer, Angela; Herrchen, Monika; Wischerhoff, Erik; Gores, Friedhelm | Zeitschriftenaufsatz |
2018 | Comparative Study of 4H-SiC UV-Sensors with Ion Implanted and Epitaxially Grown p-Emitter Matthus, C.D.; Erlbacher, T.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2018 | Decoration of Al implantation profiles in 4H-SiC by bevel grinding and dry oxidation Kocher, Matthias; Erlbacher, Tobias; Rommel, Mathias; Bauer, Anton | Poster |
2018 | Determination of compensation ratios of Al-implanted 4H-SiC by TCAD modelling of TLM measurements Kocher, Matthias; Yao, Boteng; Weisse, Julietta; Rommel, Mathias; Xu, Zongwei; Erlbacher, Tobias; Bauer, Anton | Poster |
2018 | Dose dependent profile deviation of implanted aluminum in 4H-SiC during high temperature annealing Kocher, Matthias; Rommel, Mathias; Sledziewski, Tomasz; Häublein, Volker; Bauer, Anton | Poster |
2018 | Dose Dependent Profile Deviation of Implanted Aluminum in 4H-SiC During High Temperature Annealing Kocher, Matthias; Rommel, Mathias; Sledziewski, Tomasz; Häublein, Volker; Bauer, Anton J. | Konferenzbeitrag |
2018 | Electrical properties of schottky-diodes based on B doped diamond Erlbacher, T.; Huerner, A.; Zhu, Y.; Bach, L.; Schletz, A.; Zürbig, Verena; Pinti, Lucas; Kirste, Lutz; Giese, Christian; Nebel, Christoph E.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2018 | Elektronik Bauer, Anton; Bär, Eberhard; Erlbacher, Tobias; Friedrich, Jochen; Lorenz, Jürgen; Rommel, Mathias; Schellenberger, Martin | Aufsatz in Buch |
2018 | Evidence of low injection efficiency for implanted p-emitters in bipolar 4H-SiC high-voltage diodes Matthus, C.D.; Huerner, A.; Erlbacher, T.; Bauer, A.; Frey, L. | Zeitschriftenaufsatz |
2018 | Hätte ich das mal vorher gewusst! Gaugisch, Petra; Bauer, Alexander; Gerlach, Mario; Schmeer, Regina; Rutz, Maria; Wolff, Dominik; Behrends, Marianne; Kupka, Thomas | Konferenzbeitrag |
2018 | Impact of Al-ion implantation on the formation of deep defects in n-type 4H-SiC Weiße, Julietta; Hauck, Martin; Krieger, Michael; Erlekampf, Jürgen; Mitlehner, Heinz; Bauer, Anton J.; Rommel, Mathias; Häublein, Volker; Erlbacher, Tobias; Csato, Constantin; Rüb, Michael; Akhmadaliev, Shavkat; Frey, Lothar | Konferenzbeitrag |
2018 | Influence of Al doping concentration and annealing parameters on TiAl based Ohmic contacts on 4H-SiC Kocher, Matthias; Rommel, Mathias; Erlbacher, Tobias; Bauer, Anton J. | Konferenzbeitrag |
2018 | The influence of investment expenditures on the development of fast charging infrastructure Horn, D.; Bauer, A.; Schmidt, A.; Udovenko, O. | Konferenzbeitrag |
2018 | Influence of triangular defects on the electrical characteristics of 4H-SiC devices Schoeck, J.; Schlichting, H.; Kallinger, B.; Erlbacher, T.; Rommel, M.; Bauer, A.J. | Konferenzbeitrag |
2018 | Mass Separation Issues for the Implantation of Doubly Charged Aluminum Ions Häublein, V.; Bauer, A.J.; Ryssel, H.; Frey, L. | Konferenzbeitrag |
2018 | Rollo aus einem Flächenmaterial mit Sandwich-Struktur Bauer, Annette; Becker, Katharina; Bernaschek, Andreas; Borges, Ralf; Dreyer, Christian | Patent |
2018 | Total Synthesis and Structural Revision of the Antibiotic Tetrapeptide GE81112A Jürjens, G.; Schuler, S.M.M.; Kurz, M.; Petit, S.; Couturier, C.; Jeannot, F.; Nguyen, F.; Wende, R.C.; Hammann, P.E.; Wilson, D.N.; Bacqué, E.; Pöverlein, C.; Bauer, A. | Zeitschriftenaufsatz |
2017 | 4.5 kV SiC junction barrier schottky diodes with low leakage current and high forward current density Schöck, Johannes; Büttner, Jonas; Rommel, Mathias; Erlbacher, Tobias; Bauer, Anton | Konferenzbeitrag |
2017 | Advanced 4H-SiC p-i-n Diode as Highly Sensitive High-Temperature Sensor Up To 460 degrees C Matthus, C.D.; Erlbacher, T.; Hess, A.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz |
2017 | Development of sensor integration concept for mass production processes Rost, F.; Arnold, B.; Decker, R.; Bauer, A.; Tsapkolenko, A.; Rzepka, S.; Mehner, J.; Kroll, L. | Konferenzbeitrag |
2017 | Enhanced contamination control methods in advanced wafer processing Pfeffer, M.; Richter, H.; Altmann, R.; Leibold, A.; Bauer, A. | Konferenzbeitrag |
2017 | Experimental verification of a self-triggered solid-state circuit breaker based on a SiC BIFET Albrecht, M.; Hürner, A.; Erlbacher, T.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2017 | Implementation of 4H-SiC PiN-diodes as nearly linear temperature sensors up to 800 K towards SiC multi-sensor integration Matthus, C.D.; Erlbacher, T.; Schöfer, B.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2017 | Implementation of new discovery pipelines in industry to access novel lead structures for antibiotics development Glaeser, J.; Zubeil, F.; Toti, L.; Bauer, A.; Vilcinskas, A.; Hammann, P. | Abstract |
2017 | Influence of Al doping concentration and annealing parameters on TiAl based ohmic contacts on 4H-SiC Kocher, Matthias; Rommel, Mathias; Erlbacher, Tobias; Bauer, Anton | Poster |
2017 | Influence of triangular defects on the electrical characteristics of 4H-SiC devices Schöck, Johannes; Schlichting, Holger; Kallinger, Birgit; Erlbacher, Tobias; Rommel, Mathias; Bauer, Anton J. | Poster |
2017 | Monolithically integrated solid-state-circuit-breaker for high power applications Huerner, A.; Erlbacher, T.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2017 | Novel advanced analytical design tool for 4H-SiC VDMOSFET devices Benedetto, L. di; Licciardo, G.D.; Erlbacher, T.; Bauer, A.J.; Rubino, A. | Konferenzbeitrag |
2017 | Point contact current voltage measurements of 4H-SiC samples with different doping profiles Kocher, Matthias; Niebauer, Michael; Rommel, Mathias; Haeublein, Volker; Bauer, Anton | Konferenzbeitrag |
2017 | Stress reduction in high voltage MIS capacitor fabrication Banzhaf, S.; Kenntner, J.; Grieb, M.; Schwaiger, S.; Erlbacher, T.; Bauer, A.J.; Frey, L.; Frey, L. | Konferenzbeitrag |
2017 | Svetamycins A-G, unusual piperazic acid-containing peptides from Streptomyces sp. Dardić, D.; Lauro, G.; Bifulco, G.; Laboudie, P.; Sakhaii, P.; Bauer, A.; Vilcinskas, A.; Hammann, P.E.; Plaza, A. | Zeitschriftenaufsatz |
2016 | 4.5 kV SiC junction barrier schottky diodes with low leakage current and high forward current density Schöck, Johannes; Büttner, Jonas; Rommel, Mathias; Erlbacher, Tobias; Bauer, Anton | Poster |
2016 | Advanced detection method for polymer residues on semiconductor substrates Richter, H.; Pfitzner, L.; Pfeffer, M.; Bauer, A.; Siegert, J.; Bodner, T. | Konferenzbeitrag |
2016 | Analytical Model and Design of 4H-SiC Planar and Trenched JBS Diodes Di Benedetto, L.; Licciardo, G.D.; Erlbacher, T.; Bauer, A.J.; Bellone, S. | Zeitschriftenaufsatz |
2016 | Conduction loss reduction for bipolar injection field-effect-transistors (BIFET) Hürner, Andreas; Mitlehner, Heinz; Erlbacher, Tobias; Bauer, Anton J.; Frey, Lothar | Konferenzbeitrag |
2016 | Ion implanted 4H-SiC UV pin-diodes for solar radiation detection - simulation and characterization Matthus, Christian D.; Erlbacher, Tobias; Burenkov, Alexander; Bauer, Anton J.; Frey, Lothar | Konferenzbeitrag |
2016 | A model of electric field distribution in gate oxide and JFET-region of 4H-SiC DMOSFETs Benedetto, Luigi di; Licciardo, Gian D.; Erlbacher, Tobias; Bauer, Anton J.; Liguori, R.; Rubino, Alfredo | Zeitschriftenaufsatz |
2016 | Monolithic 3D TSV-based high-voltage, high-temperature capacitors Gruenler, S.; Rattmann, G.; Erlbacher, T.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz, Konferenzbeitrag |
2016 | Optimized design for 4H-SiC power DMOSFETs Benedetto, Luigi di; Licciardo, Gian D.; Erlbacher, Tobias; Bauer, Anton J.; Rubino, Alfredo | Zeitschriftenaufsatz |
2016 | Particle free handling of substrates Samadi, H.; Pfeffer, M.; Altmann, R.; Leibold, A.; Gumprecht, T.; Bauer, A. | Zeitschriftenaufsatz |
2016 | Post-trench processing of silicon deep trench capacitors for power electronic applications Banzhaf, Stefanie; Schwaiger, Stefan; Erlbacher, Tobias; Bauer, Anton J.; Frey, Lothar | Konferenzbeitrag |
2016 | Potential of 4H-SiC CMOS for high temperature applications using advanced lateral p-MOSFETs Albrecht, Matthäus; Erlbacher, Tobias; Bauer, Anton J.; Frey, Lothar | Konferenzbeitrag |
2016 | Prospects and issues of nanomaterials use in microelectronics Jank, Michael; Bauer, Anton; Frey, Lothar | Poster |
2016 | Semiconductor equipment assessment - An enabler for production ready equipment Pfeffer, M.; Pfitzner, L.; Bauer, A. | Konferenzbeitrag |
2016 | SF3: Unusual Antimicrobial Hexapeptides from a Streptomyces sp Strain Dardic, D.; Hirsch, R.; Druzineck, D.; Wiesner, J.; Bauer, A.; Vilcinskas, A.; Hammann, P.; Plaza, A. | Abstract |
2016 | Silicon integrated RC snubbers for applications up to 900V with reduced mechanical stress and high manufacturability Krach, Florian; Thielen, Nils; Heckel, Thomas; Bauer, Anton J.; Erlbacher, Tobias; Frey, Lothar | Konferenzbeitrag |
2016 | Systematic characterization of doping profiles in 4H-SiC by point contact current voltage measurements Kocher, Matthias; Niebauer, Michael; Rommel, Mathias; Haeublein, Volker; Bauer, Anton | Poster |
2015 | Advanced contamination control methods for yield enhancement. YE: Yield Enhancement/Learning Richter, H.; Leibold, A.; Altmann, R.; Doffek, B.; Koebl, J.; Pfeffer, M.; Bauer, A.; Schneider, G.; Cheung, D. | Konferenzbeitrag |
2015 | Atomic scale characterization of SiO2/4H-SiC interfaces in MOSFETs devices Beltran, A.M.; Duguay, S.; Strenger, C.; Bauer, A.J.; Cristiano, F.; Schamm-Chardon, S. | Zeitschriftenaufsatz |
2015 | Classification and key feature extraction for equipment health monitoring Krauel, Christopher; Weishäupl, Laura; Petzold, Lisa; Pfeffer, Markus; Bauer, Anton | Vortrag |
2015 | Comprehensive study of the electron scattering mechanisms in 4H-SiC MOSFETs Uhnevionak, Viktoryia; Burenkov, Alexander; Strenger, Christian; Ortiz, Guillermo; Bedel-Pereira, Elena; Mortet, Vincent; Cristiano, Fuccio; Bauer, Anton J.; Pichler, Peter | Zeitschriftenaufsatz |
2015 | High-voltage monolithic 3D capacitors based on through-silicon-via technology Grünler, Saeideh; Rattmann, Gudrun; Erlbacher, Tobias; Bauer, Anton; Frey, Lothar | Poster |
2015 | Impact of acceptor concentration on electrical properties and density of interface states of 4H-SiC n-metal-oxide-semiconductor field effect transistors studied by Hall effect Ortiz, Guillermo; Strenger, Christian; Uhnevionak, Viktoryia; Burenkov, Alexander; Bauer, Anton J.; Pichler, Peter; Cristiano, Fuccio; Bedel-Pereira, Elena; Mortet, Vincent | Zeitschriftenaufsatz |
2015 | Impact of post-trench processing on the electrical characteristics of 4H-SiC trench-MOS structures with thick top and bottom oxides Banzhaf, C.T.; Grieb, M.; Rambach, M.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2015 | Improved electrical behavior of ZrO2-based MIM structures by optimizing the O3 oxidation pulse time Paskaleva, A.; Weinreich, W.; Bauer, A.J.; Lemberger, M.; Frey, L. | Zeitschriftenaufsatz |
2015 | Influence of annealing, oxidation and doping on conduction-band near interface traps in 4H-SiC characterized by low temperature conductance measurements Noll, S.; Rambach, M.; Grieb, M.; Scholten, D.; Bauer, A.; Frey, L. | Konferenzbeitrag |
2015 | Modeling of ion drift in 4H-SiC-based chemical MOSFET sensors Erlbacher, T.; Schwarzmann, H.; Bauer, A.J.; Döhler, G.H.; Schreivogel, M.; Lutz, T.; Guillén, F.H.; Graf, J.; Fix, R.; Frey, L. | Zeitschriftenaufsatz |
2015 | Modeling of the electrochemical etch stop with high reverse bias across pn-junctions Szwarc, Robert; Frey, Lothar; Weber, Hans; Moder, Iris; Erlbacher, Tobias; Rommel, Mathias; Bauer, Anton J. | Vortrag |
2015 | Modelling of the electrochemical etch stop with high reverse bias across pn-junctions Szwarc, R.; Frey, L.; Weber, H.; Moder, I.; Erlbacher, T.; Rommel, M.; Bauer, A.J. | Konferenzbeitrag |
2015 | Particle free handling of substrates Samadi, H.; Pfeffer, M.; Altmann, R.; Leibold, A.; Gumprecht, T.; Bauer, A. | Konferenzbeitrag |
2015 | Robust double-ring junction termination extension design for high voltage power semiconductor devices based on 4H-SiC Hürner, A.; Benedetto, L. di; Erlbacher, T.; Mitlehner, H.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2015 | Semiconductor equipment assessment - an enabler for production ready equipment Pfeffer, M.; Pfitzner, L.; Bauer, A. | Konferenzbeitrag |
2015 | Silicon nitride, a high potential dielectric for 600 V integrated RC-snubber applications Krach, F.; Schwarzmann, H.; Bauer, A.J.; Erlbacher, T.; Frey, L. | Zeitschriftenaufsatz |
2015 | Tailoring the electrical properties of HfO2 MOS-devices by aluminum doping Paskaleva, Albena; Rommel, Mathias; Hutzler, Andreas; Spassov, Dencho; Bauer, Anton J. | Zeitschriftenaufsatz |
2015 | Temperature dependent characterization of bipolar injection field-effect-transistors (BiFET) for determining the short-circuit-capability Hürner, A.; Erlbacher, T.; Mitlehner, H.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2015 | Thermal laser separation - a novel dicing technology fulfilling the demands of volume manufacturing of 4H-SiC devices Lewke, D.; Dohnke, K.O.; Zühlke, H.U.; Cerezuela Barret, M.; Schellenberger, M.; Bauer, A.; Ryssel, H. | Konferenzbeitrag |
2014 | Effect of shallow n-doping on field effect mobility in p-doped channels of 4H-SiC MOS field effect transistors Noll, S.; Rambach, M.; Grieb, M.; Scholten, D.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2014 | Energetic evaluation of partly or fully automated assembly processes with regard to ergonomics Böhme, Jeannette; Bauer, Anna; Todtermuschke, Marcel | Konferenzbeitrag |
2014 | Experimental analysis of bipolar SiC-devices for future energy distribution systems Huerner, A.; Mitlehner, H.; Erlbacher, T.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2014 | Hall factor calculation for the characterization of transport properties in n-channel 4H-SiC MOSFETs Uhnevionak, U.; Burenkov, A.; Strenger, C.; Mortet, V.; Bedel-Peireira, E.; Cristiano, F.; Bauer, A.J.; Pichler, Peter | Konferenzbeitrag |
2014 | High-mobility metal-oxide thin-film transistors by spray deposition of environmentally friendly precursors Oertel, S.; Jank, M.P.M.; Teuber, E.; Bauer, A.J.; Frey, L. | Konferenzbeitrag, Zeitschriftenaufsatz |
2014 | Impact of fabrication process on electrical properties and on interfacial density of states in 4H-SiC n-MOSFETs studied by hall effect Ortiz, Guillermo; Mortet, Vincent; Strenger, Christian; Uhnevionak, Viktoryia; Burenkov, Alexander; Bauer, Anton J.; Pichler, Peter; Cristiano, Fuccio; Bedel-Pereira, Elena | Konferenzbeitrag |
2014 | Influence of diverse post-trench processes on the electrical performance of 4H-SiC MOS structures Banzhaf, C.T.; Grieb, M.; Trautmann, A.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2014 | Investigation of trenched and high temperature annealed 4H-SiC Banzhaf, C.T.; Grieb, M.; Trautmann, A.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2014 | Large area fabrication of plasmonic color filters using UV-SCIL Rumler, Maximilian; Fader, R.; Förthner, M.; Haas, A.; Rommel, M.; Bauer, A.J.; Frey, L. | Vortrag |
2014 | Large area fabrication of plasmonic color filters using UV-SCIL Rumler, Maximilian; Fader, Robert; Förthner, Michael; Haas, Anke; Rommel, Mathias; Bauer, Anton; Frey, Lothar | Vortrag |
2014 | Methodology for creation a reference trajectory for energetic comparability of industrial robots in body shop Todtermuschke, Marcel; Findeisen, Mathias; Bauer, Anna | Zeitschriftenaufsatz, Konferenzbeitrag |
2014 | Nanoscale characterization of TiO2 films grown by atomic layer deposition on RuO2 electrodes Murakami, Katsuhisa; Rommel, Mathias; Hudec, Boris; Rosová, Alica; Hušeková, Krístina; Dobročka, Edmund; Rammula, Raul; Kasikov, Arne; Han, Jeong Hwan; Lee, Woongkyu; Song, Seul Ji; Paskaleva, Albena; Bauer, Anton J.; Frey, Lothar; Fröhlich, Karol; Aarik, Jaan; Hwang, Cheol Seong | Zeitschriftenaufsatz |
2014 | NanoSPV - SPM Technique for Measuring Minority Charge Carrier Diffusion Lengths with High Spatial Resolution Stumpf, Florian; Rommel, M.; Bauer, A.J.; Frey, L.; Hitzel, F.; Stadelmann, A. | Vortrag |
2014 | NanoSPV - SPM Technique for the Quantitative Measurement of Minority Charge Carrier Diffusion Lengths with High Spatial Resolution Stumpf, Florian; Rommel, Mathias; Bauer, Anton; Frey, Lothar; Hitzel, Frank; Stadelmann, Anja; Bartel, Til | Vortrag |
2014 | Optical polymers with tunable refractive index for nanoimprint technologies Landwehr, Johannes; Fader, Robert; Rumler, Maximilian; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar; Simon, B.; Fodor, B.; Petrik, Peter; Schiener, A.; Winter, Benjamin; Spiecker, Erdmann | Zeitschriftenaufsatz |
2014 | Optical polymers with tunable refractive index for nanoimprint technologies Fader, Robert; Landwehr, Johannes; Rumler, Maximilian; Förthner, Michael; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar; Simon, B.; Fodor, B.; Petrik, Peter; Winter, Benjamin; Spiecker, Erdmann | Poster |
2014 | Optical polymers with tunable refractive index for nanoimprint technologies Fader, Robert; Landwehr, Johannes; Rumler, Maximilian; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar; Simon, B.; Fodor, B.; Petrik, Peter; Schiener, A.; Winter, Benjamin; Spiecker, Erdmann | Poster |
2014 | Reliability of monolithic RC-snubbers in MOS-based power modules Erlbacher, T.; Schwarzmann, H.; Krach, F.; Bauer, A.J.; Berberich, S.E.; Kasko, I.; Frey, L. | Konferenzbeitrag |
2014 | Structure placement accuracy of wafer level stamps for substrate conformal imprint lithography Fader, Robert; Förthner, Michael; Rumler, Maximilian; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar; Verschuuren, Marc; Butschke, Jörg; Irmscher, Mathias; Storace, Eleonora; Ji, Ran; Schömbs, Ulrike | Poster |
2014 | Systematic analysis of the high- and low-field channel mobility in lateral 4H-SiC MOSFETs Strenger, C.; Uhnevionak, V.; Mortet, V.; Ortiz, G.; Erlbacher, T.; Burenkov, A.; Bauer, A.J.; Cristiano, F.; Bedel-Pereira, E.; Pichler, P.; Ryssel, H.; Frey, L. | Konferenzbeitrag |
2014 | Temperature and electrical field dependence of the ambipolar mobility in n-doped 4H-SiC Hürner, A.; Bonse, C.; Clemmer, G.; Kallinger, B.; Heckel, T.; Erlbacher, T.; Mitlehner, H.; Häublein, V.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2014 | Thickness mapping of high-k dielectrics at the nanoscale Trapnauskas, Justinas; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar | Zeitschriftenaufsatz |
2013 | Accuracy of wafer level alignment with substrate conformal imprint lithography Fader, Robert; Rommel, Mathias; Bauer, Anton J.; Rumler, Maximilian; Frey, Lothar; Verschuuren, Marcus Antonius; Laar, Robert van de; Ji, Ran; Schömbs, Ulrike | Zeitschriftenaufsatz, Konferenzbeitrag |
2013 | Accuracy of wafer level alignment with substrate conformal imprint lithography Fader, Robert; Rumler, M.; Rommel, M.; Bauer, A.J.; Frey, L.; Verschuuren, M.A.; Laar, R. van de; Ji, R.; Schömbs, U. | Vortrag |
2013 | Alloying of ohmic contacts to n-type 4H-SiC via laser irradiation Hürner, A.; Schlegl, T.; Adelmann, B.; Mitlehner, H.; Hellmann, R.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2013 | Bimodal CAFM TDDB distributions in polycrystalline HfO2 gate stacks: The role of the interfacial layer and grain boundaries Iglesias, V.; Martin-Martinez, J.; Porti, M.; Rodriguez, R.; Nafria, M.; Aymerich, X.; Erlbacher, T.; Rommel, M.; Murakami, K.; Bauer, A.J.; Frey, L.; Bersuker, G. | Zeitschriftenaufsatz |
2013 | Characterization of diverse gate oxides on 4H-SiC 3D trench-MOS structures Banzhaf, C.T.; Grieb, M.; Trautmann, A.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2013 | Comparative study of n-LIGBT and n-LDMOS structures on 4H-SiC Häublein, V.; Temmel, G.; Mitlehner, H.; Rattmann, G.; Strenger, C.; Hürner, A.; Bauer, A.J.; Ryssel, H.; Frey, L. | Konferenzbeitrag |
2013 | Correlation of interface characteristics to electron mobility in channel-implanted 4H-SiC MOSFETs Strenger, C.; Uhnevionak, V.; Burenkov, A.; Bauer, A.J.; Mortet, V.; Bedel-Pereira, E.; Cristiano, F.; Krieger, M.; Ryssel, H. | Konferenzbeitrag |
2013 | Detailed leakage current analysis of metal-insulator-metal capacitors with ZrO2, ZrO2/SiO2/ZrO2, and ZrO2/Al2O3/ZrO2 as dielectric and TiN electrodes Weinreich, W.; Shariq, A.; Seidel, K.; Sundqvist, J.; Paskaleva, A.; Lemberger, M.; Bauer, A.J. | Zeitschriftenaufsatz, Konferenzbeitrag |
2013 | Electrical impact of the aluminum p-implant annealing on lateral MOSFET transistors on 4H-SiC n-epi Noll, S.; Scholten, D.; Grieb, M.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2013 | Evaluation of resistless Ga+ beam lithography for UV NIL stamp fabrication Rumler, Maximilian; Fader, Robert; Haas, Anke; Rommel, Mathias; Bauer, Anton; Frey, Lothar | Zeitschriftenaufsatz |
2013 | Evaluation of UV-SCIL resists for structure transfer using plasma etching Rumler, Maximilian; Rusch, O.; Fader, Robert; Haas, Anke; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar; Brehm, Markus; Kraft, Andreas | Poster |
2013 | Functional epoxy polymer for direct nano-imprinting of micro-optical elements Fader, R.; Landwehr, J.; Rumler, M.; Rommel, M.; Bauer, A.J.; Frey, L.; Völkel, R.; Brehm, M.; Kraft, A. | Zeitschriftenaufsatz |
2013 | Hall effect characterization of 4H-SiC MOSFETs: Influence of nitrogen channel implantation Mortet, V.; Bedel-Pereira, E.; Bobo, J.F.; Cristiano, F.; Strenger, C.; Uhnevionak, V.; Burenkov, A.; Bauer, A.J. | Konferenzbeitrag |
2013 | Influence of ion implantation in SiC on the channel mobility in lateral n-channel MOSFETs Strenger, C.; Uhnevionak, V.; Burenkov, A.; Bauer, A.J.; Pichler, P.; Erlbacher, T.; Ryssel, H.; Frey, L. | Konferenzbeitrag |
2013 | Influence of parasitic capacitances on conductive AFM I-V measurements and approaches for its reduction Rommel, Mathias; Jambreck, Joachim D.; Lemberger, Martin; Bauer, Anton J.; Frey, Lothar; Murakami, Katsuhisa; Richter, Christoph; Weinzierl, Philipp | Zeitschriftenaufsatz |
2013 | Laser alloying nickel on 4H-silicon carbide substrate to generate ohmic contacts Adelmann, B.; Hürner, A.; Schlegel, T.; Bauer, A.J.; Frey, L.; Hellmann, R. | Zeitschriftenaufsatz |
2013 | Life time of flexible PDMS stamps for UV-enhanced substrate conformal imprint lithography (SCIL) Fader, Robert; Rumler, M.; Rommel, M.; Bauer, A.J.; Frey, L.; Brehm, M.; Kraft, A.; Reboud, V.; Landis, S. | Vortrag |
2013 | On the temperature dependence of the hall factor in n-channel 4H-SiC MOSFETs Uhnevionak, V.; Burenkov, A.; Strenger, C.; Bauer, A.J.; Pichler, P. | Konferenzbeitrag |
2013 | Optimierungsverfahren für die ressourceneffiziente Planung von Montageanlagen Bauer, Anna | Diplomarbeit |
2013 | Patterning flat and tilted 4H-SiC by Ga+ resistless lithography and subsequent reactive ion etching Beuer, Susanne; Rommel, Mathias; Rumler, Maximilian; Haas, Anke; Bauer, Anton J.; Frey, Lothar | Poster |
2013 | Processing of silicon nanostructures by Ga+ resistless lithography and reactive ion etching Rommel, M.; Rumler, M.; Haas, A.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz |
2013 | Structural properties of as deposited and annealed ZrO2 influenced by atomic layer deposition, substrate, and doping Weinreich, W.; Wilde, L.; Müller, J.; Sundqvist, J.; Erben, E.; Heitmann, J.; Lemberger, M.; Bauer, A.J. | Zeitschriftenaufsatz |
2013 | Surface modification of flexible plain and microstructured hard and soft PDMS-thin films by plasma treatment and layer deposition for improved usability for biomedical applications Scharin, Marina; Rommel, Mathias; Dirnecker, Tobias; Rumler, Maximilian; Fader, Robert; Bauer, Anton J.; Frey, Lothar; Hermman, Martin | Poster |
2013 | Verification of near-interface traps models by electrical measurements on 4H-SiC n-channel MOSFETs Uhnevionak, V.; Strenger, C.; Burenkov, A.; Mortet, V.; Bedel-Pereira, E.; Cristiano, F.; Bauer, A.; Pichler, P. | Konferenzbeitrag |
2012 | 4H-SiC MOSFETs with a stable protective coating for harsh environment applications Daves, W.; Krauss, A.; Häublein, V.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2012 | Amplitude modulated resonant push-pull driver for piezoelectric transformers in switching power applications Schwarzmann, Holger; Erlbacher, Tobias; Bauer, Anton J.; Ryssel, Heiner; Frey, Lothar | Konferenzbeitrag |
2012 | Approaches for the reduction of the influence of parasitic capacitances on local IV characteristics for conductive AFM Rommel, Mathias; Jambreck, Joachim D.; Murakami, Katsuhisa; Lemberger, Martin; Richter, Christoph; Weinzierl, Philip; Bauer, Anton J.; Frey, Lothar | Vortrag |
2012 | Characterization of grain boundaries in multicrystalline silicon with high lateral resolution using conductive atomic force microscopy Rumler, Maximilian; Rommel, Mathias; Erlekampf, Jürgen; Azizi, Maral; Geiger, Tobias; Bauer, Anton J.; Meißner, Elke; Frey, Lothar | Zeitschriftenaufsatz |
2012 | Comparative study of electrical and microstructural properties of 4H-SiC MOSFETs Strenger, C.; Häublein, V.; Erlbacher, T.; Bauer, A.J.; Ryssel, H.; Beltran, A.M.; Schamm-Chardon, S.; Mortet, V.; Bedel-Pereira, E.; Lefebvre, M.; Cristiano, F. | Konferenzbeitrag |
2012 | Effect of HfO2 polycrystallinity on distribution of the CAFM-induced TDDB in high-k gate stacks Iglesias, V.; Erlbacher, T.; Rommel, M.; Murakami, K.; Bauer, A.J.; Frey, L.; Porti, M.; Martin-Martinez, J.; Rodriguez, R.; Nafria, M.; Aymerich, X.; Bersuker, G. | Poster |
2012 | Electrical characterization of nanostructured p-silicon electrodes for bioimpedance measurements on single cell level Pliquett, Uwe; Westenthanner, Maximilian; Rommel, Mathias; Bauer, Anton J.; Beckmann, Dieter | Konferenzbeitrag |
2012 | Evaluation of resistless Ga+ beam lithography for UV-NIL stamp fabrication Rumler, Maximilian; Fader, Robert; Haas, Anke; Rommel, Matthias; Bauer, Anton J.; Frey, Lothar | Poster |
2012 | Fabrication and application of shielded probes for conductive AFM measurements Jambreck, Joachim D.; Rommel, Mathias; Richter, Christoph; Weinzierl, Philip; Bauer, Anton J.; Frey, Lothar | Poster |
2012 | Feasibility and limitations of anti-fuses based on bistable non-volatile switches for power electronic applications Erlbacher, T.; Huerner, A.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz |
2012 | Feasiblity and limitations of anti-fuses based on bistable non-volatile switches for power electronic applications Erlbacher, Tobias; Hürner A.; Bauer, Anton J.; Frey, Lothar | Zeitschriftenaufsatz |
2012 | Functional epoxy polymer for direct nano-imprinting of micro optical elements Fader, Robert; Landwehr Johannes; Rumler, Maximilian; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar; Völkel, Reinhard; Brehm, Markus; Kraft, Andreas | Poster |
2012 | Hall effect characterizations of 4H-SiC MOSFETs: Influence of nitrogen channel implantation Mortet, V.; Bedel-Pereira, E.; Bobo, J.; Strenger, C.; Uhnevionak, V.; Burenkov, A.; Cristiano, F.; Bauer, A. | Poster |
2012 | Improving module performance and reliability in power electronic applications by monolithic integration of RC-snubbers Erlbacher, Tobias; Schwarzmann, Holger; Bauer, Anton J.; Berberich, Sven E.; Dorp, Joachim vom; Frey, Lothar | Konferenzbeitrag |
2012 | Life time evaluation of PDMS stamps for UV-enhanced substrate conformal imprint lithography Schmitt, H.; Duempelmann, P.; Fader, R.; Rommel, M.; Bauer, A.J.; Frey, L.; Brehm, M.; Kraft, A. | Zeitschriftenaufsatz, Konferenzbeitrag |
2012 | Nano-analytical and electrical characterization of 4H-SiC MOSFETs Beltran, A.M.; Schamm-Chardon, S.; Mortet, V.; Lefebvre, M.; Bedel-Pereira, E.; Cristiano, F.; Strenger, C.; Häublein, V.; Bauer, A.J. | Konferenzbeitrag |
2012 | Nanoscale characterization of TiO2 films grown by atomic layer deposition Murakami, Katsuhisa; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar; Hudec, Boris; Rosova, A.; Hueková, K.; Fröhlich, Karol; Kasikov, A.; Ramula, R.; Aarik, J.; Han, J.H.; Han, S.; Lee, W.; Song, S.J.; Hwang, C.S. | Poster |
2012 | Novel organic polymer for UV-enhanced substrate conformal imprint lithography Fader, R.; Schmitt, H.; Rommel, M.; Bauer, A.J.; Frey, L.; Ji, R.; Hornung, M.; Brehm, M.; Vogler, M. | Zeitschriftenaufsatz, Konferenzbeitrag |
2012 | Ohmic and rectifying contacts on bulk AlN for radiation detector applications Erlbacher, Tobias; Bickermann, Matthias; Kallinger, Birgit; Meissner, Elke; Bauer, Anton J.; Frey, Lothar | Zeitschriftenaufsatz, Konferenzbeitrag |
2012 | Plasma-assisted atomic layer deposition of alumina at room temperature Lemberger, Martin; Fromm, Timo; Rommel, Mathias; Bauer, Anton J.; Frey, Lothar | Poster |
2012 | Reliability characterization of dielectrics in 200V trench capacitors Erlbacher, Tobias; Schwarzmann, Holger; Bauer, Anton J.; Dorp, Joachim vom; Frey, Lothar | Poster |
2012 | Significant on-resistance reduction of LDMOS devices by intermitted trench gates integration Erlbacher, Tobias; Bauer, Anton J.; Frey, Lothar | Zeitschriftenaufsatz |
2012 | Simple and efficient method to fabricate nano cone arrays by FIB milling demonstrated on planar substrates and on protruded structures Rommel, M.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz, Konferenzbeitrag |
2012 | Structural and reliability analysis of ohmic contacts to SiC with a stable protective coating for harsh environment applications Daves, W.; Kraus, A.; Häublein, V.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz |
2012 | TiO2-based metal-insulator-metal structures for future DRAM storage capacitors Fröhlich, K.; Hudec, B.; Tapajna, M.; Hueková, K.; Rosova, A.; Eliá, P.; Aarik, J.; Rammula, R.; Kasikov, A.; Arroval, T.; Aarik, L.; Murakami, Katsuhisa; Rommel, Mathias; Bauer, Anton J. | Konferenzbeitrag |
2012 | Verification of near-interface traps by electrical measurements on 4H-SiC n-channel MOSFETs Uhnevionak, V.; Strenger, C.; Burenkov, A.; Mortet, V.; Bedel-Pereira, E.; Cristiano, F.; Bauer, A.; Pichler, P. | Vortrag |
2011 | 4H-SiC n-MOSFET logic circuits for high temperature operation Le-Huu, M.; Grieb, M.; Schrey, F.F.; Schmitt, H.; Häublein, V.; Bauer, A.J.; Ryssel, H.; Frey, L. | Konferenzbeitrag |
2011 | Amorphous silicon carbide thin films (a-SiC:H) deposited by plasma-enhanced chemical vapor deposition as protective coatings for harsh environment applications Daves, W.; Krauss, A.; Behnel, N.; Häublein, V.; Bauer, A.; Frey, L. | Zeitschriftenaufsatz |
2011 | Characterization of thickness variations of thin dielectric layers at the nanoscale using scanning capacitance microscopy Yanev, V.; Rommel, M.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz, Konferenzbeitrag |
2011 | Comparative study on metallization and passivation materials for high temperature sensor applications Daves, W.; Krauss, A.; Le-Huu, M.; Kronmüller, S.; Häublein, V.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2011 | A conceptual framework for automatic situation assessment Fischer, Yvonne; Bauer, Alexander; Beyerer, Jürgen | Konferenzbeitrag |
2011 | Current voltage characteristics through grains and grain boundaries of high-k dielectric thin films measured by tunneling atomic force microscopy Murakami, K.; Rommel, M.; Yanev, V.; Bauer, A.J.; Frey, L. | Poster |
2011 | Current voltage characteristics through grains and grain boundaries of high-k dielectric thin films measured by tunneling atomic force microscopy Murakami, Katsuhisa; Rommel, Mathias; Yanev, Vasil; Bauer, Anton J.; Frey, Lothar | Konferenzbeitrag |
2011 | Dielectric layers suitable for high voltage integrated trench capacitors Dorp, J. vom; Erlbacher, T.; Bauer, A.J.; Ryssel, H.; Frey, L. | Zeitschriftenaufsatz, Konferenzbeitrag |
2011 | Effect of increased oxide hole trap density due to nitrogen incorporation at the SiO2/SiC interface on F-N current degradation Strenger, C.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag |
2011 | Electrical characterization of lateral 4H-SiC MOSFETs in the temperature range of 25 to 600 °C for harsh environment applications Daves, W.; Krauss, A.; Häublein, V.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2011 | Enhancement of the stability of Ti and Ni ohmic contacts to 4H-SiC with a stable protective coating for harsh environment applications Daves, W.; Krauss, A.; Häublein, V.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz |
2011 | Experiments and simulation of the diffusion and activation of the n-Type dopants P, As, and Sb implanted into germanium Koffel, S.; Kaiser, R.J.; Bauer, A.J.; Amon, B.; Pichler, P.; Lorenz, J.; Frey, L.; Scheiblin, P.; Mazzocchi, V.; Barnes, J.-P.; Claverie, A. | Zeitschriftenaufsatz, Konferenzbeitrag |
2011 | Fluorine implantation for effective work function control in p-type metal-oxide-semiconductor high-k metal gate stacks Fet, A.; Häublein, V.; Bauer, A.J.; Ryssel, H.; Frey, L. | Zeitschriftenaufsatz, Konferenzbeitrag |
2011 | Gate oxide reliability at the nano-scale evaluated by combining cAFM and CVS Erlbacher, T.; Yanev, V.; Rommel, M.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz, Konferenzbeitrag |
2011 | Germanium substrate loss during thermal processing Kaiser, R.J.; Koffel, S.; Pichler, P.; Bauer, A.J.; Amon, B.; Frey, L.; Ryssel, H. | Zeitschriftenaufsatz, Konferenzbeitrag |
2011 | High pressure oxidation of 4H-SiC in nitric acid vapor Kalai Selvi, K.; Sreenidhi, T.; Dasgupta, N.; Ryssel, H.; Bauer, A. | Zeitschriftenaufsatz |
2011 | A highly sensitive evaluation method for the determination of different current conduction mechanisms through dielectric layers Murakami, M.; Rommel, M.; Yanev, V.; Erlbacher, T.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz |
2011 | Implication of oxygen vacancies on current conduction mechanisms in TiN/Zr1-xAlxO2/TiN metal-insulator-metal structures Paskaleva, A.; Lemberger, M.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz |
2011 | Influence of annealing parameters on surface roughness, mobility, and contact resistance of aluminium implanted 4H SiC Schmitt, H.; Häublein, V.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2011 | Investigation of the reliability of 4H-SiC MOS devices for high temperature applications Le-Huu, M.; Schmitt, H.; Noll, S.; Grieb, M.; Schrey, F.F.; Bauer, A.J.; Frey, L.; Ryssel, H. | Zeitschriftenaufsatz |
2011 | Life time evaluation of PDMS stamps for UV-enhanced substrate conformal imprint lithography Schmitt, H.; Duempelmann, P.; Fader, R.; Rommel, M.; Bauer, A.J.; Frey, L.; Brehm, M.; Kraft, A. | Poster |
2011 | Light confinement by structured metal tips for antenna-based scanning near-field optical microscopy Jambreck, J.D.; Böhmler, M.; Rommel, M.; Hartschuh, A.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2011 | Manufacturing, characterization, and application of nanoimprinted metallic probe demonstrators for electrical scanning probe microscopy Jambreck, J.D.; Yanev, V.; Schmitt, H.; Rommel, M.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz, Konferenzbeitrag |
2011 | Monolithic RC-snubber for power electronic applications Dorp, Joachim vom; Berberich, Sven E.; Erlbacher, Tobias; Bauer, Anton J.; Ryssel, Heiner; Frey, Lothar | Konferenzbeitrag |
2011 | Novel polymers for UV-enhanced substrate conformal imprint lithography Fader, R.; Schmitt, H.; Rommel, M.; Bauer, A.J.; Frey, L.; Ji, R.; Hornung, M.; Brehm, M.; Vogler, M. | Poster |
2011 | A novel PWM control for a bi-directional full-bridge DC-DC converter with smooth conversion mode transitions Lorentz, V.R.H.; Schwarzmann, H.; März, M.; Bauer, A.J.; Ryssel, H.; Frey, L.; Poure, P.; Braun, F. | Zeitschriftenaufsatz |
2011 | Oberflächenstrukturieren von metallischen Werkzeugeinsätzen: innovative Designoberflächen durch Laserumschmelzen Bauer, A. | Zeitschriftenaufsatz |
2011 | Object-event graph matching for complex activity recognition Bauer, Alexander; Fischer, Yvonne | Konferenzbeitrag |
2011 | Reliability of nitrided gate oxides for N- and P-type 4H-SiC(0001) metal-oxide-semiconductor devices Noborio, M.; Grieb, M.; Bauer, A.J.; Peters, D.; Friedrichs, P.; Suda, J.; Kimoto, T. | Zeitschriftenaufsatz |
2011 | Run-time security traceability for evolving systems Bauer, A.; Jürjens, J.; Yu, Y. | Zeitschriftenaufsatz |
2011 | Simple and efficient method to fabricate nano-cone arrays by FIB milling demonstrated on planar substrates and on protruded structures Rommel, M.; Bauer, A.J.; Frey, L. | Poster |
2011 | Task-oriented sensor management for wide-area surveillance Fischer, Yvonne; Geisler, Jürgen; Bauer, Alexander | Konferenzbeitrag |
2011 | Traps and trapping phenomena and their implications on electrical behavior of high-k capacitor stacks Paskaleva, A.; Lemberger, M.; Atanassova, E.; Bauer, A.J. | Zeitschriftenaufsatz, Konferenzbeitrag |
2011 | UV-enhanced substrate conformal imprint lithography using an epoxy based polymer Fader, R.; Schmitt, H.; Rommel, M.; Bauer, A.J.; Frey, L.; Ji, R.; Hornung, M.; Brehm, M.; Kraft, A. | Poster |
2010 | Analysis of NbN thin film deposition by plasma-enhanced ALD for gate electrode application Hinz, J.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz |
2010 | Characterization of thickness variations of thin dielectric layers at a nanoscale using Scanning Capacitance Microscopy Yanev, V.; Rommel, M.; Bauer, A.J.; Frey, L. | Poster |
2010 | Comparison of the threshold-voltage stability of SiC MOSFETs with thermally grown and deposited gate oxides Grieb, M.; Noborio, M.; Peters, D.; Bauer, A.J.; Friedrichs, P.; Kimoto, T.; Ryssel, H. | Konferenzbeitrag |
2010 | Comprehensive study of focused ion beam induced lateral damage in silicon by scanning probe microscopy techniques Rommel, M.; Spoldi, G.; Yanev, V.; Beuer, S.; Amon, B.; Jambreck, J.; Petersen, S.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz |
2010 | Context-aware retrieval of learning units Mareth, N.; Streicher, A.; Bauer, A.; Roller, W. | Konferenzbeitrag |
2010 | Dealing with uncertain feature assessments in interactive object recognition Bauer, A.; Jürgens, V.; Angele, S. | Konferenzbeitrag |
2010 | Detection and electrical characterization of defects at the SiO2/4H-SiC interface Krieger, M.; Beljakow, S.; Zippelius, B.; Afanas´ev, V.V.; Bauer, A.J.; Nanen, Y.; Kimoto, T.; Pensl, G. | Konferenzbeitrag |
2010 | Direct imprinting, post processing, and characterization of functional UV-curing materials Schmitt, H.; Kett, F.; Fader, R.; Rommel, M.; Bauer, A.J.; Hornung, M.; Frey, L. | Poster |
2010 | Effective work function tuning in high-kappa dielectric metal-oxide-semiconductor stacks by fluorine and lanthanide doping Fet, A.; Häublein, V.; Bauer, A.J.; Ryssel, H.; Frey, L. | Zeitschriftenaufsatz |
2010 | Electrical and topographical characterization of aluminum implanted layers in 4H silicon carbide Rambach, M.; Bauer, A.J.; Ryssel, H. | Aufsatz in Buch |
2010 | Electrical characterization and reliability of nitrided-gate insulators for N- and P-type 4H-SiC MIS devices Noborio, M.; Grieb, M.; Bauer, A.J.; Peters, D.; Friedrichs, P.; Suda, J.; Kimoto, T. | Konferenzbeitrag |
2010 | Electrical scanning probe microscopy techniques for the detailed characterization of high-k dielectric layers Rommel, M.; Yanev, V.; Paskaleva, A.; Erlbacher, T.; Lemberger, M.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2010 | Evaluation of NbN thin films grown by MOCVD and plasma-enhanced ALD for gate electrode application in high-k/SiO2 gate stacks Hinz, J.; Bauer, A.J.; Thiede, T.; Fischer, R.A.; Frey, L. | Zeitschriftenaufsatz |
2010 | Exploiting context for assisted aerial image interpretation Bauer, A.; Herschelmann, O. | Konferenzbeitrag |
2010 | Fabrication of metallic SPM tips by combining UV nanoimprint lithography and focused ion beam processing Jambreck, J.D.; Schmitt, H.; Amon, B.; Rommel, M.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz, Konferenzbeitrag |
2010 | Full wafer microlens replication by UV imprint lithography Schmitt, H.; Rommel, M.; Bauer, A.J.; Frey, L.; Bich, A.; Eisner, M.; Völkel, R.; Hornung, M. | Konferenzbeitrag, Zeitschriftenaufsatz |
2010 | Honeycomb voids due to ion implantation in germanium Kaiser, R.J.; Koffel, S.; Pichler, P.; Bauer, A.J.; Amon, B.; Claverie, A.; Benassayag, G.; Scheiblin, P.; Frey, L.; Ryssel, H. | Zeitschriftenaufsatz, Konferenzbeitrag |
2010 | Influence of annealing parameters on surface roughness, mobility, and contact resistance of aluminum implanted 4H SiC Schmitt, H.; Häublein, V.; Bauer, A.J.; Frey, L. | Poster |
2010 | Influence of FIB patterning strategies on the shape of 3D structures: Comparison of experiments with simulations Rommel, M.; Jambreck, J.D.; Ebm, C.; Platzgummer, E.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz, Konferenzbeitrag |
2010 | Integrierbare Bauelemente zur Erhöhung der Betriebssicherheit elektronischer Systemkomponenten im Automobil Dorp, J. vom; Erlbacher, T.; Lorentz, V.; Bauer, A.J.; Ryssel, H.; Frey, L. | Konferenzbeitrag |
2010 | Lanthanoid implantation for effective work function control in NMOS high-k/metal gate stacks Fet, A.; Häublein, V.; Bauer, A.J.; Ryssel, H.; Frey, L. | Konferenzbeitrag |
2010 | Lossless average inductor current sensor for CMOS integrated DC-DC converters operating at high frequencies Lorentz, V.R.H.; Berberich, S.E.; März, M.; Bauer, A.J.; Ryssel, H.; Poure, P.; Braun, F. | Zeitschriftenaufsatz |
2010 | Manufacturing, characterization, and application of nanoimprinted metallic probe demonstrators for electrical scanning probe microscopy Jambreck, J.D.; Yanev, V.; Schmitt, H.; Rommel, M.; Bauer, A.J.; Frey, L. | Poster |
2010 | Modeling of the effective work function instability in metal/high-kappa dielectric stacks Fet, A.; Häublein, V.; Bauer, A.J.; Ryssel, H.; Frey, L. | Zeitschriftenaufsatz |
2010 | Nanoimprinted metallic probe demonstrators for electrical scanning probe microscopy: Manufacturing, characterization, and application Jambreck, J.D.; Yanev, V.; Schmitt, H.; Rommel, M.; Bauer, A.J.; Frey, L. | Poster |
2010 | NMOS logic circuits using 4H-SiC MOSFETs for high temperature applications Le-Huu, M.; Schrey, F.F.; Grieb, M.; Schmitt, H.; Häublein, V.; Bauer, A.J.; Ryssel, H.; Frey, L. | Konferenzbeitrag |
2010 | Object-oriented sensor data fusion for wide maritime surveillance Fischer, Y.; Bauer, A. | Konferenzbeitrag |
2010 | Privacy-aware object representation for surveillance systems Vagts, H.; Bauer, A. | Konferenzbeitrag |
2010 | Probabilistic scene models for image interpretation Bauer, A. | Konferenzbeitrag |
2010 | Reduced on resistance in LDMOS devices by integrating trench gates into planar technology Erlbacher, T.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz |
2010 | Runtime verification of cryptographic protocols Bauer, A.; Jürjens, J. | Zeitschriftenaufsatz |
2010 | Silicon carbide and related materials 2009 : Bauer, A.J.; Friedrichs, P.; Krieger, M.; Pensl, G.; Rupp, R.; Seyller, T. | Tagungsband |
2010 | Task-oriented situation recognition Bauer, A.; Fischer, Y. | Konferenzbeitrag |
2010 | Trainingsmaßnahme "Führungskraft als Lerncoach" Bauer, Agnes; Hofmann, Josephine; Reiners, Dorothee | Buch |
2010 | Trainingsmaßnahme "selbst ständig lernen mit META-LL" Bauer, Agnes; Hofmann, Josephine; Reiners, Dorothee | Buch |
2010 | Trench gate integration into planar technology for reduced on-resistance in LDMOS devices Erlbacher, T.; Rattmann, G.; Bauer, A.J.; Frey, L. | Poster |
2010 | Trench gate integration into planar technology for reduced on-resistance in LDMOS devices Erlbacher, T.; Rattmann, G.; Bauer, A.J.; Frey, L. | Konferenzbeitrag |
2010 | Wettbewerbsfähigkeit durch Lernen : Bauer, Agnes; Korge, Axel; Korge, Gabriele; Reiners, Dorothee | Buch |
2009 | Advanced high-performance liquid chromatography method for highly polar nitroaromatic compounds in ground water samples from ammunition waste sites Preiss, A.; Bauer, A.; Berstermann, H.-M.; Gerling, S.; Haas, R.; Joos, A.; Lehmann, A.; Schmalz, L.; Steinbach, K. | Zeitschriftenaufsatz |
2009 | Analysis of the DC-arc behavior of a novel 3D-active fuse Dorp, J. vom; Berberich, S.E.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2009 | Assisted interpretation of infrastructure facilities from aerial imagery Bauer, A. | Konferenzbeitrag |
2009 | Comparative study between conventional macroscopic IV techniques and advanced AFM based methods for electrical characterization of dielectrics at the nanoscale Yanev, V.; Erlbacher, T.; Rommel, M.; Bauer, A.J.; Frey, L. | Zeitschriftenaufsatz |
2009 | Comparative study between conventional macroscopic IV techniques and advanced AFM based methods for electrical characterization of dielectrics at the nanoscale Yanev, V.; Erlbacher, T.; Rommel, M.; Bauer, A.J.; Frey, L. | Poster |
2009 | Correlation of microscopic and macroscopic electrical characteristics of high-k ZrSixO2-x thin films using tunneling atomic force microscopy Weinreich, W.; Wilde, L.; Kücher, P.; Lemberger, M.; Yanev, V.; Rommel, M.; Bauer, A.J.; Erben, E.; Heitmann, J.; Schröder, U.; Oberbeck, L. | Konferenzbeitrag, Zeitschriftenaufsatz |
2009 | Decision support to facilitate cost-optimal response in time- and safety-critical situations Ott, Jonathan; Hild, Jutta; Bauer, Alexander | Konferenzbeitrag |
2009 | Deposition of niobium nitride thin films from Tert-Butylamido-Tris-(Diethylamido)-Niobium by a modified industrial MOCVD reactor Thiede, T.B.; Parala, H.; Reuter, K.; Passing, G.; Kirchmeyer, S.; Hinz, J.; Lemberger, M.; Bauer, A.J.; Barreca, D.; Gasparotto, A.; Fischer, R.A. | Zeitschriftenaufsatz |
2009 | Effective work function engineering by lanthanide ion implantation of metal-oxide semiconductor gate stacks Fet, A.; Häublein, V.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2009 | Electrical characterization of MOS structures with deposited oxides annealed in N2O or NO Grieb, M.; Noborio, M.; Peters, D.; Bauer, A.J.; Friedrichs, P.; Kimoto, T.; Ryssel, H. | Konferenzbeitrag |
2009 | Experimental observation of FIB induced lateral damage on silicon samples Spoldi, G.; Beuer, S.; Rommel, M.; Yanev, V.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2009 | Fabrication of metallic SPM tips by combining UV nanoimprint lithography and focused ion beam processing Jambreck, J.D.; Schmitt, H.; Amon, B.; Rommel, M.; Bauer, A.J.; Frey, L. | Poster |
2009 | Fraunhofer-Institut für Lasertechnik. Leistungen und Ergebnisse. Jahresbericht 2008 : Bauer, A.; Flock, S. | Jahresbericht |
2009 | Full wafer microlens replication by UV imprint lithography Schmitt, H.; Rommel, M.; Bauer, A.J.; Frey, L.; Bich, A.; Eisner, M.; Voelkel, R.; Hornung, M. | Poster |
2009 | Impact of interface variations on J-V and C-V polarity asymmetry of MIM capacitors with amorphous and crystalline Zr(1-x)AlxO2 films Weinreich, W.; Reiche, R.; Lemberger, M.; Jegert, G.; Müller, J.; Wilde, L.; Teichert, S.; Heitmann, J.; Erben, E.; Oberbeck, L.; Schröder, U.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2009 | Influence of FIB patterning strategies on the shape of 3D structures: Comparison of experiments with simulations Rommel, M.; Jambreck, J.; Ebm, C.; Platzgummer, E.; Bauer, A.; Frey, L. | Poster |
2009 | Influence of N2 and NH3 annealing on the nitrogen incorporation and k-value of thin ZrO2 layers Weinreich, W.; Ignatova, V.A.; Wilde, L.; Teichert, S.; Lemberger, M.; Bauer, A.J.; Reiche, R.; Erben, E.; Heitmann, J.; Oberbeck, L.; Schröder, U. | Zeitschriftenaufsatz |
2009 | Influence of the amorphous/crystalline phase of Zr1-xAlxO2 high-k layers on the capacitance performance of metal insulator metal stacks Pakaleva, A.; Lemberger, M.; Bauer, A.J.; Weinreich, W.; Heitmann, J.; Erben, E.; Schröder, U.; Oberbeck, L. | Zeitschriftenaufsatz |
2009 | Influence of the oxidation temperature and atmosphere on the reliability of thick gate oxides on the 4H-SiC C(000-1) face Grieb, M.; Peters, D.; Bauer, A.J.; Friedrichs, P.; Ryssel, H. | Konferenzbeitrag |
2009 | Lanthanum implantation for threshold voltage control in metal/high-k devices Fet, A.; Häublein, V.; Bauer, A.J.; Ryssel, H.; Frey, L. | Konferenzbeitrag, Zeitschriftenaufsatz |
2009 | Light-load efficiency increase in high-frequency integrated DC–DC converters by parallel dynamic width controlling Lorentz, V.; Berberich, S.; März, M.; Bauer, A.; Ryssel, H.; Poure, P.; Braun, F. | Zeitschriftenaufsatz |
2009 | Object-oriented world model for surveillance systems Bauer, A.; Emter, T.; Vagts, H.; Beyerer, J. | Konferenzbeitrag |
2009 | Privacy enforcement in surveillance systems Vagts, H.; Bauer, A.; Emter, T.; Beyerer, J. | Konferenzbeitrag |
2009 | Probabilistic reasoning on object occurrence in complex scenes Bauer, A. | Konferenzbeitrag |
2009 | Properties of TaN thin films produced using PVD linear dynamic deposition technique Kozlowska, M.; Oechsner, R.; Pfeffer, M.; Bauer, A.J.; Meissner, E.; Pfitzner, L.; Ryssel, H.; Maass, W.; Langer, J.; Ocker, B.; Schmidbauer, S.; Gonchond, J.-P. | Zeitschriftenaufsatz, Konferenzbeitrag |
2009 | Search for future high-k dielectrics, boundary conditions and examples Bauer, A.J.; Lemberger, M.; Erlbacher, T.; Weinreich, W. | Konferenzbeitrag |
2009 | Silicon based trench hole power capacitor Berberich, S.E.; Dorp, J. vom; Bauer, A.J.; Ryssel, H. | Zeitschriftenaufsatz |
2009 | Suppression of parasitic electron injection in SONOS-type memory cells using high-k capping layers Erlbacher, T.; Graf, T.; DasGupta, N.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2009 | UV nanoimprint lithography process optimization for electron device manufacturing on nanosized scale Schmitt, H.; Amon, B.; Beuer, S.; Petersen, S.; Rommel, M.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2008 | Custom-specific UV nanoimprint templates and life-time of antisticking layers Schmitt, H.; Zeidler, M.; Rommel, M.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2008 | DC-arc behavior of a novel active fuse Dorp, J. vom; Berberich, S.E.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag |
2008 | Decision support for object recognition from multi-sensor data Bauer, A.; Geisler, J. | Konferenzbeitrag |
2008 | Detailed carrier lifetime analysis of iron-contaminated boron-doped silicon by comparison of simulation and measurement Rommel, M.; Bauer, A.J.; Ryssel, H. | Zeitschriftenaufsatz |
2008 | Electrical AFM techniques for the advanced characterization of materials in semiconductor technology Yanev, V.; Rommel, M.; Spoldi, G.; Beuer, S.; Amon, B.; Petersen, S.; Lugstein, A.; Steiger, A.; Bauer, A.J.; Ryssel, H. | Poster |
2008 | Electrical and topographical characterization of aluminum implanted layers in 4H silicon carbide Rambach, M.; Bauer, A.J.; Ryssel, H. | Zeitschriftenaufsatz |
2008 | Evaluation of MOCVD grown niobium nitride films as gate electrode for advanced CMOS technology Thiede, T.; Parala, H.; Reuter, K.; Passing, G.; Kirchmeyer, S.; Hinz, J.; Lemberger, M.; Bauer, A.J.; Fischer, R.A. | Konferenzbeitrag |
2008 | Experimental observation of FIB induced lateral damage on silicon samples Spoldi, G.; Beuer, S.; Rommel, M.; Yanev, V.; Bauer, A.J.; Ryssel, H. | Poster |
2008 | Fraunhofer-Institut für Lasertechnik. Leistungen und Ergebnisse. Jahresbericht 2007 : Bauer, A.; Flock, S. | Jahresbericht |
2008 | HfSiO/SiO2- and SiO2/HfSiO/SiO2-gate stacks for non-volatile memories Erlbacher, T.; Jank, M.P.M.; Lemberger, M.; Bauer, A.J.; Ryssel, H. | Zeitschriftenaufsatz |
2008 | High-k: Latest developments and perspectives Bauer, A.J.; Lemberger, M.; Erlbacher, T.; Weinreich, W. | Aufsatz in Buch |
2008 | Hightech-Materialien für die Elektronik von morgen Jank, M.P.; Bauer, A.J.; Fischer, B.; Slama, A.; Potinecke, T. | Aufsatz in Buch |
2008 | Improved insight in charge trapping of high-k ZrO2/SiO2 stacks by use of tunneling atomic force microscopy Paskaleva, A.; Yanev, V.; Rommel, M.; Lemberger, M.; Bauer, A.J. | Zeitschriftenaufsatz |
2008 | Morphological skin ageing criteria by multiphoton laser scanning tomography Koehler, M.J.; Hahn, S.; Preller, A.; Elsner, P.; Ziemer, M.; Bauer, A.; König, K.; Bückle, R.; Fluhr, J.W.; Kaatz, M. | Zeitschriftenaufsatz |
2008 | N.E.S.T. - Network Enabled Surveillance and Tracking - Towards next generation surveillance systems Bauer, A.; Eckel, S.; Emter, T.; Laubenheimer, A.; Monari, E.; Moßgraber, J.; Reinert, F. | Konferenzbeitrag |
2008 | Recent improvements in the integration of field emitters into scanning probe microscopy sensors Beuer, S.; Rommel, M.; Petersen, S.; Amon, B.; Sulzbach, T.; Engl, W.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2008 | A semantic approach to the efficient integration of interactive and automatic target recognition systems for the analysis of complex infrastructure from aerial imagery Bauer, A.; Peinsipp-Byma, E. | Konferenzbeitrag |
2008 | SSRM characterisation of FIB induced damage in silicon Beuer, S.; Yanev, V.; Rommel, M.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2008 | Tunneling atomic-force microscopy as a highly sensitive mapping tool for the characterization of film morphology in thin high-k dielectrics Yanev, V.; Rommel, M.; Lemberger, M.; Petersen, S.; Amon, B.; Erlbacher, T.; Bauer, A.J.; Ryssel, H.; Paskalev, A.; Weinreich, W.; Fachmann, C.; Heitmann, J.; Schroeder, U. | Zeitschriftenaufsatz |
2008 | UV nanoimprint lithography process optimization for electron device manufacturing on nanosized scale Schmitt, H.; Amon, B.; Petersen, S.; Rommel, M.; Bauer, A.J.; Ryssel, H. | Poster |
2007 | Accurate parameter extraction for the simulation of direct structuring by ion beams Beuer, S.; Rommel, M.; Lehrer, C.; Platzgummer, E.; Kvasnica, S.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2007 | Chemical vapor deposition of tantalum nitride films for metal gate application using TBTDET and novel single-source MOCVD precursors Lemberger, M.; Baunemann, A.; Bauer, A.J. | Zeitschriftenaufsatz |
2007 | Custom-specific UV nanoimprint templates and life-time of antisticking layers Schmitt, H.; Zeidler, M.; Rommel, M.; Bauer, A.J.; Ryssel, H. | Poster |
2007 | Detailed photocurrent analysis of iron contaminated boron doped silicon by comparison of simulation and measurement Rommel, M.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag |
2007 | Electrical characterization of low dose focused ion beam induced damage in silicon by scanning spreading resistance microscopy Beuer, S.; Yanev, V.; Rommel, M.; Bauer, A.J.; Ryssel, H. | Poster |
2007 | Fate of extrahepatic human stem and precursor cells after transplantation into mouse livers Brulport, M.; Schormann, W.; Bauer, A.; Hermes, M.; Elsner, C.; Hammersen, F.J.; Beerheide, W.; Spitkovsky, D.; Härtig, W.; Nussler, A.; Horn, L.C.; Edelmann, J.; Pelz-Ackermann, O.; Petersen, J.; Kamprad, M.; Mach, M. von; Lupp, A.; Zulewski, H.; Hengstler, J.G. | Zeitschriftenaufsatz |
2007 | Fraunhofer-Institut für Lasertechnik. Leistungen und Ergebnisse. Jahresbericht 2006 : Bauer, A.; Flock, S. | Jahresbericht |
2007 | Hafnium silicate as control oxide in non-volatile memories Erlbacher, T.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2007 | High temperature implantation of aluminum in 4H silicon carbide Rambach, M.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag |
2007 | High voltage 3D-capacitor Berberich, S.E.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag |
2007 | MOCVD of hafnium silicate films obtained from a single-source precursor on silicon and germanium for gate-dielectric applications Lemberger, M.; Schön, F.; Dirnecker, T.; Jank, M.P.M.; Frey, L.; Ryssel, H.; Paskaleva, A.; Zürcher, S.; Bauer, A.J. | Zeitschriftenaufsatz |
2007 | MOCVD of TaN Using the All-Nitrogen-Coordinated Precursors [Ta(NEtMe)3(N-tBu)], [Ta(NEtMe)(N-tBu){C(N-iPr)2(NEtMe)}2] and [Ta(NMeEt)2(N-tBu){Me2N-N(SiMe3)}] Baunemann, A.; Lemberger, M.; Bauer, A.J.; Parala, H.; Fischer, R.A. | Zeitschriftenaufsatz |
2007 | MOCVD of tantalum nitride thin films from TBTEMT single source precursor as metal electrodes in CMOS applications Lemberger, M.; Thiemann, S.; Baunemann, A.; Parala, H.; Fischer, R.A.; Hinz, J.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2007 | Polarity asymmetry of stress and charge trapping behavior of thin Hf- and Zr-silicate layers Paskaleva, A.; Lemberger, M.; Bauer, A.J. | Zeitschriftenaufsatz |
2007 | Quantitative oxide charge determination by photocurrent analysis Rommel, M.; Bauer, A.J.; Ryssel, H. | Zeitschriftenaufsatz |
2007 | Recent improvements in the integration of field emitters into scanning probe microscopy sensors Beuer, S.; Rommel, M.; Petersen, S.; Amon, B.; Sulzbach, T.; Engl, W.; Bauer, A.J.; Ryssel, H. | Poster |
2007 | Stress induced leakage current mechanism in thin Hf-silicate layers Paskaleva, A.; Lemberger, M.; Bauer, A.J. | Zeitschriftenaufsatz |
2007 | Thermal stability of thin ALD ZrO2 layers as dielectrics in deep trench DRAM devices annealed in N2 and NH3 Weinreich, W.; Lemberger, M.; Erben, E.; Heitmann, J.; Wilde, L.; Ignatova, V.A.; Teichert, S.; Schröder, U.; Oberbeck, L.; Bauer, A.J.; Ryssel, H.; Kücher, P. | Poster |
2007 | Verification of grain boundaries in annealed thin ZrO2 films by electrical AFM technique Yanev, V.; Paskaleva, A.; Weinreich, W.; Lemberger, M.; Petersen, S.; Rommel, M.; Bauer, A.J.; Ryssel, H. | Poster |
2006 | Accurate parameter extraction for the simulation of direct structuring by ion beams Beuer, S.; Rommel, M.; Lehrer, C.; Platzgummer, E.; Kvasnica, S.; Bauer, A.J.; Ryssel, H. | Poster |
2006 | Active Fuse Berberich, S.E.; März, M.; Bauer, A.J.; Beuer, S.; Ryssel, H. | Konferenzbeitrag |
2006 | Correlation between defects, leakage currents and conduction mechanisms in thin high-k dielectric layers Paskaleva, A.; Atanassova, E.; Lemberger, M.; Bauer, A.J. | Konferenzbeitrag |
2006 | Extracting activation and compensation ratio from aluminum implanted 4H-SiC by modeling of resistivity measurements Rambach, M.; Frey, L.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag |
2006 | Fraunhofer-Institut für Lasertechnik. Leistungen und Ergebnisse. Jahresbericht 2005 : Bauer, A.; Flock, S. | Jahresbericht |
2006 | High temperature implantation of aluminum in 4H silicon carbide Rambach, M.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag |
2006 | Mercury-free cure shrinkage and thermal expansion measurements Chowdhury, Y.; Bauer, A.; Müller, J.; Bauer, M. | Konferenzbeitrag |
2006 | Stress induced leakage currents and charge trapping in thin Zr- and Hf-silicate layers Paskaleva, A.; Lemberger, M.; Bauer, A.J. | Konferenzbeitrag |
2006 | Systematic interpretation of microarray data using experiment annotations Fellenberg, K.; Busold, C.H.; Witt, O.; Bauer, A.; Beckmann, B.; Hauser, N.C.; Frohme, M.; Winter, S.; Dippon, J.; Hoheisel, J.D. | Zeitschriftenaufsatz |
2005 | Annealing of aluminum implanted 4H-SiC Rambach, M.; Bauer, A.J.; Frey, L.; Friedrichs, P.; Ryssel, H. | Konferenzbeitrag |
2005 | An asymmetry of conduction mechanisms and charge trapping in thin high-k Hf(x)Ti(y)Si(z)O films Paskaleva, A.; Bauer, A.J.; Lemberger, M. | Zeitschriftenaufsatz |
2005 | Characterization of interface state densities by photocurrent analysis. Comparison of results for different insulator layers Rommel, M.; Groß, M.; Ettinger, A.; Bauer, A.J.; Frey, L.; Ryssel, H. | Poster |
2005 | Characterization of interface state densities by photocurrent analysis: Comparison of results for different insulator layers Rommel, M.; Groß, M.; Ettinger, A.; Lemberger, M.; Bauer, A.J.; Frey, L.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2005 | Chemische Dampfphasenabscheidung von neuen Materialien für Sub-50-nm-Transistoren Frey, L.; Bauer, A.; Ryssel, H. | Zeitschriftenaufsatz |
2005 | Chemistry of mixed ligand all nitrogen coordinated Ta, Hf, and W precursors for metal nitride MOCVD Baunemann, A.; Rische, D.; Kim, Y.; Parala, H.; Bauer, A.J.; Lemberger, M.; Fischer, R.A. | Poster |
2005 | Conduction mechanisms and an evidence for phonon-assisted conduction process in thin high-k Hf(x)Ti(y)Si(z)O films Paskaleva, A.; Bauer, A.; Lemberger, M. | Zeitschriftenaufsatz |
2005 | Electrical properties of hafnium silicate films obtained from a single-source MOCVD precursor Lemberger, M.; Paskaleva, A.; Zurcher, S.; Bauer, A.J.; Frey, L.; Ryssel, H. | Zeitschriftenaufsatz, Konferenzbeitrag |
2005 | Fraunhofer-Institut für Lasertechnik. Leistungen und Ergebnisse. Jahresbericht 2004 : Bauer, A.; Flock, S. | Jahresbericht |
2005 | High-k hafnium silicate films on silicon and germanium wafers by MOCVD using a single-source precursor Lemberger, M.; Schön, F.; Dirnecker, T.; Jank, M.P.M.; Paskaleva, A.; Bauer, A.J.; Frey, L.; Ryssel, H. | Konferenzbeitrag |
2005 | Implantation and annealing of aluminum in 4H silicon carbide Rambach, M.; Frey, L.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag |
2005 | Integration of GO annotations in correspondence analysis: Facilitating the interpretation of microarray data Busold, C.H.; Winter, S.; Hauser, N.C.; Bauer, A.; Dippon, J.; Hoheisel, D.; Fellenberg, K. | Zeitschriftenaufsatz |
2005 | MOCVD of conductive cubic HfN thin films from Hf(NR2)4 and N,N-dimethylhydrazine Kim, Y.; Parala, H.; Bauer, A.J.; Lemberger, M.; Baunemann, A.; Fischer, R.A. | Konferenzbeitrag |
2005 | MOCVD of cunductive cubic HfN thin films from Hf(NR2)4 and N,N-dimethylhydrazine Kim, Y.; Parala, H.; Bauer, A.J.; Lemberger, M.; Baunemann, A.; Fischer, R.A. | Konferenzbeitrag |
2005 | Thin Hf(x)Ti(y)Si(z)O films with varying Hf to Ti contents as candidates for high-k dielectrics Bauer, A.J.; Paskaleva, A.; Lemberger, M.; Frey, L.; Ryssel, H. | Konferenzbeitrag |
2005 | Triple trench gate IGBTs Berberich, S.E.; Bauer, A.J.; Frey, L.; Ryssel, H. | Konferenzbeitrag |
2005 | Wafer scale characterization of interface state densities without test structures by photocurrent analysis Rommel, M.; Groß, M.; Frey, L.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag |
2004 | Different current conduction mechanisms through thin high-k Hf(x)Ti(y)Si(z)O films due to the varying Hf to Ti ratio Paskaleva, A.; Bauer, A.J.; Lemberger, M.; Zurcher, S. | Zeitschriftenaufsatz |
2004 | Electrical characterization and reliability aspects of zirconium silicate films obtained from novel MOCVD precursors Lemberger, M.; Paskaleva, A.; Zurcher, S.; Bauer, A.J.; Frey, L.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2004 | Electrical properties and conduction mechanisms in Hf(x)Ti(y)Si(z)O films obtained from novel MOCVD precursors Paskaleva, A.; Lemberger, M.; Zürcher, S.; Bauer, A.J. | Konferenzbeitrag |
2004 | Evaluation von Wissensbewertungsmethoden für lernende Softwareorganisationen Bauer, A. : Rombach, H.D.; Rech, J. | Bericht |
2004 | Fraunhofer-Institut für Lasertechnik. Leistungen und Ergebnisse. Jahresbericht 2003 : Bauer, A.; Flock, S. | Jahresbericht |
2004 | Investigation of rapid thermal annealed pn-junctions in SiC Rambach, M.; Weiss, R.; Frey, L.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag |
2003 | Electrical characterization of zirconium silicate films obtained from novel MOCVD precursors Lemberger, M.; Paskaleva, A.; Zürcher, S.; Bauer, A.J.; Frey, L.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2003 | Fraunhofer-Institut für Lasertechnik. Leistungen und Ergebnisse. Jahresbericht 2002 : Bauer, A.; Loosen, P.; Flock, S. | Jahresbericht |
2003 | Hafnium titanium silicate high-k dielectric films deposited by MOCVD using novel single source precursors Zürcher, S.; Morstein, M.; Lemberger, M.; Bauer, A.J. | Konferenzbeitrag |
2003 | Influence of antenna shape and resist patterns on charging damage during ion implantation Dirnecker, T.; Bauer, A.J.; Beyer, A.; Frey, L.; Henke, D.; Ruf, A.; Ryssel, H. | Konferenzbeitrag |
2003 | Investigation of implantation-induced defects in thin gate oxides using low field tunnel currents Jank, M.; Frey, L.; Bauer, A.J.; Ryssel, H. | Konferenzbeitrag |
2003 | Surface properties and electrical characteristics of rapid thermal annealed 4H-SiC Bauer, A.J.; Rambach, M.; Frey, L.; Weiss, R.; Rupp, R.; Friedrichs, P.; Schörner, R.; Peters, D. | Konferenzbeitrag |
2003 | Trench sidewall doping for lateral power devices Berberich, S.E.; Bauer, A.J.; Frey, L.; Ryssel, H. | Konferenzbeitrag |
2003 | Zirconium silicate films obtained from novel MOCVD precursors Lemberger, M.; Paskaleva, A.; Zürcher, S.; Bauer, A.J.; Frey, L.; Ryssel, H. | Konferenzbeitrag, Zeitschriftenaufsatz |
2002 | Effect of barium contamination on gate oxide integrity in high-k DRAM Boubekeur, H.; Mikolajick, T.; Nagel, N.; Bauer, A.; Frey, L.; Ryssel, H. | Zeitschriftenaufsatz |
2002 | Fraunhofer-Institut für Lasertechnik. Leistungen und Ergebnisse. Jahresbericht 2001 : Poprawe, R.; Bauer, A.; Croll, A. | Jahresbericht |
2002 | Influence of photoresist pattern on charging damage during high current ion implantation Dirnecker, T.; Ruf, A.; Frey, L.; Beyer, A.; Bauer, A.J.; Henke, D.; Ryssel, H. | Konferenzbeitrag |
2002 | Lagermodellierung für logistische Netze: Das "modulare Knotenmodell" als Konzept zur dynamischen Abbildung von Lagern in Netzen Bauer, A. | Dissertation |
2002 | Long distance traffic networks Bauer, A. | Zeitschriftenaufsatz |
2002 | MOCVD of titanium dioxide on the basis of new precursors Leistner, T.; Lehmbacher, K.; Härter, P.; Schmidt, C.; Bauer, A.J.; Frey, L.; Ryssel, H. | Zeitschriftenaufsatz |
2002 | New single-source precursors for the MOCVD of high-kappa dielectric zirconium silicates to replace SiO2 in semiconducting devices Zürcher, S.; Morstein, M.; Spencer, N.D.; Lemberger, M.; Bauer, A. | Zeitschriftenaufsatz |
2002 | Wie der richtige Standort gefunden wird, ohne die Folgekosten zu vergessen Bauer, A. | Zeitschriftenaufsatz |
2001 | 5. Workshop Föderierte Datenbanken (FDBS 2001) und GI-Arbeitstreffen "Konzepte des Data Warehousing". Proceedings Bauer, A.; Busse, S.; Kutsche, R.-D.; Lehner, W. | Tagungsband |
2001 | Barium, strontium and bismuth contamination in CMOS processes Boubekeur, H.; Mikolajick, T.; Höpfner, J.; Dehm, C.; Pamler, W.; Steiner, J.; Kilian, G.; Kolbesen, B.O.; Bauer, A.; Frey, L.; Ryssel, H. | Konferenzbeitrag |
2001 | Electrical reliability aspects of through the gate implanted MOS-structures with thin oxides Jank, M.; Lemberger, M.; Bauer, A.J.; Frey, L.; Ryssel, H. | Zeitschriftenaufsatz |
2001 | Hybrid polymer/silica vertical coupler switches Keil, N.; Yao, H.H.; Zawadzki, C.; Lösch, K.; Satzke, K.; Wischmann, W.; Wirth, J. von; Schneider, J.; Bauer, A.; Bauer, J.; Bauer, M. | Konferenzbeitrag |
2001 | Impact of platinum contamination on ferroelectric memories Boubekeur, H.; Mikolajick, T.; Nagel, N.; Dehm, C.; Pamler, W.; Bauer, A.; Frey, L.; Ryssel, H. | Zeitschriftenaufsatz |
2001 | New precursors for MOCVD of high-k metal silicates as alternative to SiO2 in semiconducting devices Zürcher, S.; Morstein, M.; Bauer, A.J.; Lemberger, M. | Poster |
2001 | Reliability of ultra-thin N2O-nitrided oxides grown by RTP under low-pressure in different gas atmospheres Beichele, M.; Bauer, A.J.; Herden, M.; Ryssel, H. | Zeitschriftenaufsatz |
2001 | Reliability of ultrathin nitrided oxides grown in low- pressure N2O ambient Beichele, M.; Bauer, A.J.; Ryssel, H. | Zeitschriftenaufsatz |
2001 | Suppression of boron penetration through thin gate oxides by nitrogen implantation into the gate electrode Herden, M.; Bauer, A.J.; Beichele, M.; Ryssel, H. | Zeitschriftenaufsatz |
2001 | Suppression of boron penetration through thin gate oxides by nitrogen implantation into the gate electrode in PMOS devices Strobel, S.; Bauer, A.J.; Beichele, M.; Ryssel, H. | Zeitschriftenaufsatz |
2000 | Mechanische Charakterisierung von Polymeren Bauer, A. | Zeitschriftenaufsatz |
2000 | Reliability of ultra-thin N2O-nitrided oxides grown by RTP under low pressure and in different gas atmospheres Beichele, M.; Bauer, A.J.; Ryssel, H. | Zeitschriftenaufsatz |
2000 | Suppression of boron penetration through thin gate oxides by nitrogen implantation into the gate electrode of PMOS devices Herden, M.; Bauer, A.J.; Ryssel, H. | Zeitschriftenaufsatz |
2000 | Triazine based Dendrimers Dreyer, C.; Blume, A.; Bauer, A.; Bauer, M.; Neumann-Rodekirch, J. | Konferenzbeitrag |
1999 | Characterization of oxide etching and wafer cleaning using vapor phase anhydrous hydrofluoric acid and ozone Bauer, A.J.; Froeschle, B.; Beichele, M.; Ryssel, H. | Konferenzbeitrag |
1999 | Einführung einer neuen Produktionstechnologie Westkämper, E.; Bauer, A.; Zahn, A. | Zeitschriftenaufsatz |
1999 | Forming nitrided gate oxides by nitrogen implantation into the substrate before gate oxidation by RTO Bauer, A.J.; Mayer, P.; Frey, L.; Häublein, V.; Ryssel, H. | Konferenzbeitrag |
1999 | Impact of nitrogen implantation into polysilicon to reduce boron penetration through the gate oxide Bauer, A.J.; Mayer, P.; Frey, L.; Häublein, V.; Ryssel, H. | Konferenzbeitrag |
1999 | Reliability of ultra-thin gate oxides grown in low-pressure N20 ambient or on nitrogen-implanted silicon Bauer, A.J.; Beichele; Herden, M.; Ryssel, H. | Konferenzbeitrag |
1998 | 4 nm gate dielectrics prepared by RTP low pressure oxidation in O2 and N2O atmosphere Bauer, A.J.; Burte, E.P.; Ryssel, H. | Zeitschriftenaufsatz |
1998 | Contacting fine pitch SMT components with anisotropic or non-filled adhesives Gesang, T.; Schäfer, H.; Hennemann, O.-D.; Harder, T.; Bornholdt, O.; Bauer, A. | Konferenzbeitrag |
1998 | Flexible Cellulosefasern mit reduziertem Modul und vermindertem NMR-Ordnungsgrad und deren Herstellung Weigel, P.; Gensrich, J.; Frigge, K.; Wagenknecht, W.; Bauer, A. | Patent |
1998 | Die mechanische Charakterisierung von Polymeren und verstärkten Polymeren Bauer, A.; Ganster, J. | Konferenzbeitrag |
1998 | Schnelle thermische Niederdruckoxidation Bauer, A.J. | Konferenzbeitrag |
1997 | Characterization of oxide etching and wafer cleaning using vapor-phase anhydrous HF and ozone Froeschle, B.; Deutschmann, L.; Bauer, A.J.; Burte, E.P. | Konferenzbeitrag |
1997 | Characterization of ultrathin on stacked layers consisting of thermally grown bottom oxide and deposited silicon nitride Bauer, A.J.; Burte, E.P.; Ryssel, H. | Zeitschriftenaufsatz |
1997 | Cleaning process optimization in a gate oxide cluster tool using an in-line XPS module Froeschle, B.; Glowacki, F.; Bauer, A.J.; Kasko, I.; Öchsner, R.; Schneider, C. | Konferenzbeitrag |
1997 | Electrically conductive contacting fine-pinch devices with unfilled adhesive Gesang, T.; Schäfer, H.; Hennemann, O.-D.; Bauer, A.; Bornholdt, O.; Harder, T. | Zeitschriftenaufsatz |
1997 | Elektrisch leitfähiges Kontaktieren von Fine-Pinch-Bauelementen mit nicht-gefüllten Klebstoffen Gesang, T.; Schäfer, H.; Hennemann, O.-D.; Bauer, A.; Bornhold, O.; Harder, T. | Zeitschriftenaufsatz |
1997 | Elektrisch leitfähiges Kontaktieren von Fine-Pinch-Bauelementen mit nicht-gefüllten Klebstoffen Gesang, T.; Schäfer, H.; Hennemann, O.-D.; Bauer, A.; Bornhold, O.; Harder, T. | Zeitschriftenaufsatz |
1997 | Integrated vapor-phase cleaning and pure NO nitridation for gate stack formation Glowacki, F.; Froeschle, B.; Deutschmann, L.; Sagnes, I.; Laviale, D.; Bensahel, D.; Galimaoui, A.; Martin, F.; Bauer, A.J. | Konferenzbeitrag |
1997 | Laserresonator für Laser-Medien mit ringförmigem Querschnitt Habich, U.; Du, K.; Bauer, A.; Plum, H.D.; Loosen, P. | Patent |
1997 | Die mechanische Charakterisierung von Polymeren und Metall-Polymer-Verbunden, experimentelle Probleme und theoretische Hintergründe Bauer, A.; Ganster, J. | Konferenzbeitrag |
1997 | Möglichkeiten und Grenzen eines Virtual Reality (VR) Trainingssimulators für die chirurgische Ausbildung Müller, W.K.; Bauer, A.; Soldner, E.H.; Ziegler, R. | Konferenzbeitrag |
1997 | Präzisionsdispensen von Leitklebstoff: Erfahrungen im industriellen Umfeld Bauer, A.; Novotny, M.; Schwaab, G.; Gramann, U. | Konferenzbeitrag |
1997 | Vom Exoten zum Standardwerkzeug Poprawe, R.; Loosen, P.; Gillner, A.; Bauer, A. | Zeitschriftenaufsatz |
1996 | Characterization of ultrathin on stacked layers consisting of thermally grown bottom oxide and deposited silicon nitride Bauer, A.J.; Burte, E.P.; Ryssel, H. | Zeitschriftenaufsatz |
1996 | Grenzdehnung von Polymeren und mechanische Eigenschaften charakterisierender Strukturparameter Bauer, A. | Konferenzbeitrag |
1996 | High quality 4 nm gate dielectrics prepared at low pressure in oxygen oxide atmospheres Bauer, A.J.; Burte, E.P. | Konferenzbeitrag |
1996 | Das Mehrschichtverbundsystem Leiterplatte. Wichtige Einflußparameter auf die Anwendungseigenschaften. Teil 1. Einflüsse durch Klebstofftyp und Feuchte bei Lagerung Bauer, A.; Bischof, C. | Zeitschriftenaufsatz |
1996 | Das Mehrschichtverbundsystem Leiterplatte. Wichtige Einflußparameter auf die Anwendungseigenschaften. Teil 2 Bauer, A.; Bischof, C. | Zeitschriftenaufsatz |
1996 | Virtual Reality in the surgical arthroscopic training Bauer, A.; Soldner, E.H.; Ziegler, R.; Müller, W.K. | Konferenzbeitrag |
1996 | Virtual Reality in the surgical arthroscopical training Bauer, A.; Soldner, E.H.; Ziegler, R.; Müller, W.K. | Konferenzbeitrag |
1995 | 4 nm gate dielectrics prepared by RTP low pressure oxidation in O2 and N2O atmosphere Bauer, A.J.; Burte, E.P.; Ryssel, H. | Konferenzbeitrag |
1995 | Schnelle thermische Prozessierung und Charakterisierung dünner nitridierter Oxide Bauer, A.J. | Dissertation |
1995 | Das Spannungs-Dehnungs-Verhalten der Polymerschichten im Metall-Polymer-Verbund Bauer, A. | Konferenzbeitrag |
1995 | Structural and electrical properties of thin SiO2 layers grown by RTP in a mixture of N2O and O2 Bauer, A.J.; Burte, E.P. | Zeitschriftenaufsatz |
1995 | Virtual Reality in the surgical arthroscopical training Bauer, A.; Soldner, E.H.; Ziegler, R.; Müller, W.K. | Konferenzbeitrag |
1994 | Successful transfer of key technologies from research centres into industry Bauer, A. | Aufsatz in Buch |
1991 | Resonators for coaxial slow-flow-CO2 lasers Habich, U.; Bauer, A.; Plum, H.-D. | Konferenzbeitrag |
1990 | Multipass-resonators for compact CO2-lasers. Bauer, A.; Märten, O. | Konferenzbeitrag |
1989 | Endothelial cells are a major source of gastric mucosal PGE2-synthesis in Guinea pigs Schwenk, M.; Bauer, A.; Pfaff, T.; Radziejowsky, I.; Sewing, K.-F.; Thiedemann, K.-U. | Konferenzbeitrag |
1985 | Rationelle Datenverarbeitung mit Mikrocomputer auch in kleinen Betrieben Bauer, A.; Kaempf, R.; Kuehnle, H. | Zeitschriftenaufsatz |